基于FPGA的伪随机序列发生器设计(fpga伪随机数发生器)
cac55 2025-06-10 13:23 7 浏览 0 评论
基于 FPGA的伪随机序列发生器设计
1 基本概念与应用
1)LFSR:线性反馈移位寄存器(linear feedback shift register, LFSR)是指给定前一状态的输出,将该输出的线性函数再用作输入的移位寄存器。异或运算是最常见的单比特线性函数:对寄存器的某些位进行异或操作后作为输入,再对寄存器中的各比特进行整体移位。
LFSR 产生的两种形式为伽罗瓦(Galois)和斐波那契(Fibonacci)两种形式。也有成为外部(External)执行方式和内部(Internal)执行方式。
(1)伽罗瓦方式(Internal)
Galois 方式特征数据的方向从左至右,反馈线路是从右至左。其中 X^0 项(本原多项式里面的‘1’这一项)作为起始项。按照本原多项式的指示确定异或门(XOR)在移位寄存器电路上的位置。如上图所示 X^4。因此 Galois 方式也有人称作线内或模类型(M- 型)LFSR。
(2)斐波那契方式(External)
从图中我们可以看到 Fibonacci 方式的数学流向和反馈形式是恰好跟 Galois 方式相反的,按照本原多项式,其中 X^0 这一项作为最后一项,这里需要一个 XOR 门,将本原多项式中所给的 taps 来设定它的异或方式。因此 Fibonacci 方式也被叫做线外或者简型(S- 型)LFSR。
2)本原多项式
本原多项式是近世代数中的一个概念,是唯一分解整环上满足所有系数的最大公因数为 1 的多项式。本原多项式不等于零,与本原多项式相伴的多项式仍为本原多项式。
(1)在 MATLAB 中,本原多项式可以通过函数 primpoly(x)来产生。
(2)在 MATLAB 中,通过函数 gfprimfd(m,'min')可以找到一个最小的本原多项式。
3)应用
误码率测量 -- 在数字通信中误码率是一项重要的质量指标,在实际测量数字通信系统的误码率时,一般来说,测量结果与信源发出信号的统计特性有关。通常认为二进制信号 0 和 1 是以等概率随机出现的。所以测量误码率时最理想的信源应是伪随机序列产生器。这样测量的结果,我们认为是符合实际运用时的情况。
时延测量 -- 有时我们需要测量信号经过某一传输路径所收到的时间延迟,例如,需要测量某一延迟线的时间延迟。另外,我们还常常通过测量一无线电信号在某个媒质中的传播时间,从而折算传播距离,即利用无线电信号测距。这就是说,这种测距的原理实质上也是测量延迟。
噪声产生器 -- 测量通信系统的性能时,常常要使用噪声产生器,由它给出具有所要求的统计特性和频率特性的噪声,并且可以随意控制其强度,以便得到不同信噪比条件下的系统性能。例如,在许多情况下,要求它能产生限带白色高斯噪声。
通信加密、数据序列的加扰与解扰、扩展频谱通信、分离多径技术等等。
2 伪随机序列的原理
对于某种反馈逻辑、初始化状态非全零时,若输出序列周期最长(P=2r-1),称为 m 序列,也称为伪随机序列。
伪随机序列通常由反馈移位寄存器产生,又可分为线性反馈移位寄存器和非线性反馈移位寄存器两类。由线性反馈移位寄存器产生出的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器,即为通常说的 m 序列,因其理论成熟,实现简单,应用较为广泛。下面介绍 m 序列的产生原理。
在二进制多级移位寄存器中,若线性反馈移位寄存器(LFSR)有 n 阶(即有 n 级寄存器),则所能产生的最大长度的码序列为 2n-1 位。如果数字信号直接取自 LFSR(非翻转信号)的输出,那么最长的连 0 数为 n-1。除了字符串的连 0 和连 1,伪随机序列在一个长度为 n 的字符串中将包含任何可能的 0 和 1 的组合。要使移位寄存器产生确定的值,必须置其初值并允许时钟电路产生移位时钟。
线性反馈移位寄存器产生 m 序列
在图中给出一个一般的线性反馈移位寄存器的组成。图中一级移存器的状态用表示,=0 或 1,i=整数。反馈线的连接状态用 表示,=1 表示此线接通(参加反馈),=0 表示此线断开。我们不难推想,反馈线的连接状态不同,就可能改变此移存器输出序列的周期 p。
的取值决定了移存器的反馈连接和序列的结构,也就是决定了序列的周期。用特征多项式表示为:
当特征多项式符合某些条件时称为本原多项式。在设计 m 序列产生器时,移位寄存器反馈线的结构直接决定于本原多项式的结构。也就是只要找到本原多项式,就能由它构成 m 序列产生器。
3 matlab 的 LFSR 验证
1)matlab 函数产生本原多项式
clear all
close all
primpoly(8);
2)本原多项式产生随机序列
% m=8
% x^8+x^4+x^3+x^2+1
% 435
s=[1 1 1 1 1 1 1 1];
t=[8 4 3 2];
[seq c]=LFSRv1(s,t);
4 FPGA 的 LSFR 验证
1)fpga 实现本原多项式
/*
m:x^8+x^4+x^3+x^2+1
*/
module msequence8#(
parameter seed= 8'b1111_1111
)(
clk,
rst_n,
en,
mse8, //m sequence
rand8
);
input clk, rst_n;
input en;
output mse8;
output reg [7:0] rand8;
assign mse8 = rand8[0];
always @ (posedge clk or negedge rst_n)begin
if(!rst_n)
rand8 <= seed;
else if(en) begin
rand8[0] <= rand8[1];
rand8[1] <= rand8[2];
rand8[2] <= rand8[3];
rand8[3] <= rand8[4];
rand8[4] <= rand8[5];
rand8[5] <= rand8[6];
rand8[6] <= rand8[7];
rand8[7] <= rand8[0] ^ rand8[4] ^ rand8[5] ^ rand8[6];
end
else
rand8 <= rand8;
end
endmodule
如上图所示,当 fpga 仿真的输入种子和 matlab 的输入种子一致时产生的序列一致。
上图为随机序列的模拟信号展示。
3)在产生随机数序列的基础上我们可以进一步去产生符合高斯分布的高斯白噪声
- 上一篇:基于MATLAB的BP神经网络预测计算App
- 已经是最后一篇了
相关推荐
- 基于FPGA的伪随机序列发生器设计(fpga伪随机数发生器)
-
基于FPGA的伪随机序列发生器设计1基本概念与应用1)LFSR:线性反馈移位寄存器(linearfeedbackshiftregister,LFSR)是指给定前一状态的输出,将该输出的线性...
- 基于MATLAB的BP神经网络预测计算App
-
BP(BackPropagation)神经网络可用于数据的预测,是经常使用的预测方法之一。之前介绍了基于MATLAB的guide制作的BP神经网络预测计算GUI界面,但是随着MATLAB版本的更新,之...
- 《matlab/simulink仿真ROS学习笔记》第1期
-
PC平台:window10软件平台:MATLABR2016a备注:不知道具体的matlab版本号,可以打开matlab在终端界面输入:version查看一,调用matlab软件中自带的ROS步骤:...
- matlab读取表格数据以固定周期通过串口发送
-
如题,这里对数据的处理对于熟悉matlab各函数操作的同学来讲,是常规操作,但对于matlab新手或只是想借用matlab工具将存于PC端文件中的数据通过串口发送出去的工程人员来说,由于对matlab...
- 选择电磁阀必须要知道的8个因素(电磁阀的选择应从哪些方面考虑)
-
如何正确选择电磁阀,电磁阀的种类、规格、标准以及根据不同的介质选择的型号各不相相同,电磁阀的参数选择不全面的话,会影响使用寿命、诱发各种潜在危险甚至事故,下面诺伊曼的工程师将与您一起探讨关于正确选择电...
- 一种图像局部特征快速匹配算法(图像局部特征描述和提取方法研究)
-
摘要:在图像处理和机器视觉领域,SIFT是目前被广泛应用的一种基于局部特征的图像匹配算法。针对SIFT算法匹配速度较慢和常常存在错误匹配对的问题,本文提出在匹配过程中采用角度相似性分析替代传统的欧...
- 增益映射耦合局部正则化的图像重构算法
-
朱莉(西安科技大学计算机学院,陕西西安710054)摘要:针对当前的图像重构方法在对多帧超分辨率图像复原时,存在明显的模糊效应与振铃效应的不足,提出增益映射控制耦合局部正则化的图像重构算法。首...
- 每天一个MATLAB小技巧(9)(matlab简单教程)
-
欢迎关注公众号:【阿波兹得】上文接:每天一个MATLAB小技巧(8)如果你觉得我的文章对你有亿点点帮助的话,兄弟姐妹们看的时候顺便戳一下关注、点赞、收藏~谢谢朋友们,大家的支持就是我不断更新的最大动力...
- 零基础入门Matlab:两小时快速上手指南
-
前言Matlab作为一款强大的数学计算和可视化工具,广泛应用于工程、科研和数据分析领域。本文为零基础学习者量身定制,通过简洁明了的讲解和案例,助你快速掌握Matlab核心功能,两小时轻松入门!1.界...
- 用改进的深度差分特征识别人体部位
-
摘要:为了进一步提高人体部位识别正确率,考虑人体部位尺寸不一特性,提出了改进型深度差分特征。改进型深度差分特征根据人体部位尺寸大小确定特征偏移量取值,然后利用随机森林算法训练分类模型,实现了人体部...
- MATLAB《自动控制原理》相关编程(二)
-
摘要:本文主要讲解自动控制原理中涉及的相关MATLAB函数,包括拉式变换和反拉式变换、传递函数的化简(并联和串联)、带延时的传递函数、单位速度、单位加速度和其他任意输入的响应。1.拉式变换时域函数转...
- MATLAB-图片自动编号、命名及保存
-
在进行运算的可以,可能经常需要对图形进行保存,手动操作比较繁琐,可以自动的生成图片并按照一定的规律自动命名,这样可以提高效率。实现功能会涉及到一些函数的使用和往期介绍的图像的保存方法。下面简单回顾一下...
- MATLAB的Simulink常用模块(一)(simulink的matlab function模块)
-
在MATLAB的Simulink中有些常用的模块,今天主要介绍常数模块、示波器模块、以及模块属性的操作函数。1.模块的构成元素输入/输出端口:作为模块之间传递数据的纽带,连接输入信号和输出信号。模块...
- 闪耀金色光芒的冥王侍卫,掌管宇宙睡意的使者,温柔杀手修普诺斯
-
在极乐净土中有两位守护冥王哈迪斯的神,一个是死神塔纳多斯,另一个就是他的哥哥修谱诺斯。这两个神明一个是能掌握人类生死的大权,另一个则是负责人类和神仙的睡眠。可以说这两个人都十分的厉害。那么作者就给大...
- 无线安全的高级利用:隔离网络里你不知道的Wi-Fi隐蔽传输通道
-
2018年4月,在荷兰阿姆斯特丹HackInTheBox安全会议上,我们分享了一个关于隔离网攻击技术的议题——GhostTunnel:CovertDataExfiltratio...
你 发表评论:
欢迎- 一周热门
- 最近发表
- 标签列表
-
- 如何绘制折线图 (52)
- javaabstract (48)
- 新浪微博头像 (53)
- grub4dos (66)
- s扫描器 (51)
- httpfile dll (48)
- ps实例教程 (55)
- taskmgr (51)
- s spline (61)
- vnc远程控制 (47)
- 数据丢失 (47)
- wbem (57)
- flac文件 (72)
- 网页制作基础教程 (53)
- 镜像文件刻录 (61)
- ug5 0软件免费下载 (78)
- debian下载 (53)
- ubuntu10 04 (60)
- web qq登录 (59)
- 笔记本变成无线路由 (52)
- flash player 11 4 (50)
- 右键菜单清理 (78)
- cuteftp 注册码 (57)
- ospf协议 (53)
- ms17 010 下载 (60)